[问题]求modelsim键盘无法输入的解决方案

系统安装、升级讨论
版面规则
我们都知道新人的确很菜,也喜欢抱怨,并且带有浓厚的Windows习惯,但既然在这里询问,我们就应该有责任帮助他们解决问题,而不是直接泼冷水、简单的否定或发表对解决问题没有任何帮助的帖子。乐于分享,以人为本,这正是Ubuntu的精神所在。
回复
头像
returex
帖子: 87
注册时间: 2007-11-02 14:03

[问题]求modelsim键盘无法输入的解决方案

#1

帖子 returex » 2008-04-16 8:56

最初装的是ubuntu 8.04,更新到最新。安装modelsim se 6.2b---建立工程或用命令行时却发现无法用键盘输入
经过一片搜索也没有得到可行的办法,知道是modelsim 和scim输入法冲突,但不知如何解决。
还请高手赐教,谢谢(我不想删scim输入法)

有高人说
最简单的解决方法是设置XMODIFIER环境变量:
代码:
export XMODIFIERS=""
vsim
但这代码是不是有点错误还是怎么的?两句还是一句?两句似乎不对,一句的话似乎也不太通。
还请高手赐教。

还有,系统变量那块,我每次开机都要设一下
export LM_LICENSE_FILE=/home/modeltech/license.dat
有无简单办法设置一下?
leeyee
帖子: 723
注册时间: 2006-04-11 9:01

#2

帖子 leeyee » 2008-04-16 9:20

系统变量把它放到.bashrc文件里就可以了。

输入法的问题,我在7.10里没有,我也在用scim,都配置好了以后装的modelsim SE 6.3a,一切正常。
I would love to change the world, but they won't give me the source code.
http://hi.baidu.com/wienerlee
头像
returex
帖子: 87
注册时间: 2007-11-02 14:03

#3

帖子 returex » 2008-04-16 9:29

leeyee 写了:系统变量把它放到.bashrc文件里就可以了。

输入法的问题,我在7.10里没有,我也在用scim,都配置好了以后装的modelsim SE 6.3a,一切正常。
话是这么说,不过.bashrc文件是在home目录下的那个么,我打开后是空白文件,没敢随便改动,我是菜鸟。。
scim输入法冲突,莫非是8.04版本的问题么,离正式版没有几天了,不会还有这么多bug吧,汗。
leeyee
帖子: 723
注册时间: 2006-04-11 9:01

#4

帖子 leeyee » 2008-04-16 9:37

.bashrc会是空的?呃....
那就新建一个吧,把

代码: 全选

export LM_LICENSE_FILE=/home/modeltech/license.dat
加到里面就可以了。你的modelsim是在命令行里用vsim运行的吧?

你的8.04现在在普通情况下scim是否已经正常工作了?给你看一下我的这部分设置吧:

代码: 全选

export PATH=$PATH:/opt/modelSim/modeltech/linux
export LM_LICENSE_FILE=/opt/modelSim/modeltech/license.dat
I would love to change the world, but they won't give me the source code.
http://hi.baidu.com/wienerlee
头像
returex
帖子: 87
注册时间: 2007-11-02 14:03

#5

帖子 returex » 2008-04-16 9:43

leeyee 写了:.bashrc会是空的?呃....
那就新建一个吧,把

代码: 全选

export LM_LICENSE_FILE=/home/modeltech/license.dat
加到里面就可以了。你的modelsim是在命令行里用vsim运行的吧?

你的8.04现在在普通情况下scim是否已经正常工作了?给你看一下我的这部分设置吧:

代码: 全选

export PATH=$PATH:/opt/modelSim/modeltech/linux
export LM_LICENSE_FILE=/opt/modelSim/modeltech/license.dat
除modelsim以外的其它软件全没有问题,在命令行模式下modelsim可正常运行,就是不能GUI界面不能输入。
另外在ubuntu下modelsim还真是丑。。。
头像
returex
帖子: 87
注册时间: 2007-11-02 14:03

#6

帖子 returex » 2008-04-16 10:00

代码: 全选

export LM_LICENSE_FILE=/home/modeltech/license.dat
export XMODIFIERS="vsim"
将以上代码加入到 /home/.bashrc中后,

代码: 全选

source /.bashrc
此后,modelsim可正常使用,但是注销重启后,modelsim便变得不可用,需要重新运行

代码: 全选

source /.bashrc
,这是为什么呢?
头像
returex
帖子: 87
注册时间: 2007-11-02 14:03

#7

帖子 returex » 2008-04-16 10:23

问题已经解决,待会发个指南上来
头像
liupingjing
帖子: 451
注册时间: 2007-11-29 17:31

#8

帖子 liupingjing » 2008-04-16 12:30

期待楼主的指南
我也想装,不过一直都找不到安装软件
现在一直在用ghdl+gtkwave(用于vhdl) 和 iverilog+gtkwave(用于verilog)
头像
returex
帖子: 87
注册时间: 2007-11-02 14:03

#9

帖子 returex » 2008-04-16 18:07

leeyee
帖子: 723
注册时间: 2006-04-11 9:01

#10

帖子 leeyee » 2008-04-23 18:58

liupingjing 写了:期待楼主的指南
我也想装,不过一直都找不到安装软件
现在一直在用ghdl+gtkwave(用于vhdl) 和 iverilog+gtkwave(用于verilog)
安装软件在Mentor Graphics的主页可以下到的,关键还是license
I would love to change the world, but they won't give me the source code.
http://hi.baidu.com/wienerlee
回复